summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorSergey Nazaryev <sergey@nazaryev.ru>2017-01-16 17:48:47 +0000
committerSergey Nazaryev <sergey@nazaryev.ru>2017-01-16 17:48:47 +0000
commit1639e27e071b1dee53d2ce6fd275ef77cc4211b0 (patch)
treef382c5279cc0bb60fc91af1c2d665d1c0ef43f15
downloadvkr-schematic-master.zip
vkr-schematic-master.tar.gz
vkr-schematic-master.tar.bz2
Initial commitHEADmaster
-rw-r--r--.gitignore1
-rw-r--r--vkr-cache.lib210
-rw-r--r--vkr.kicad_pcb1
-rw-r--r--vkr.pro117
-rw-r--r--vkr.sch337
5 files changed, 666 insertions, 0 deletions
diff --git a/.gitignore b/.gitignore
new file mode 100644
index 0000000..751553b
--- /dev/null
+++ b/.gitignore
@@ -0,0 +1 @@
+*.bak
diff --git a/vkr-cache.lib b/vkr-cache.lib
new file mode 100644
index 0000000..b211875
--- /dev/null
+++ b/vkr-cache.lib
@@ -0,0 +1,210 @@
+EESchema-LIBRARY Version 2.3
+#encoding utf-8
+#
+# +9V
+#
+DEF +9V #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "+9V" 0 140 50 H V C CNN
+F2 "" 0 0 50 H V C CNN
+F3 "" 0 0 50 H V C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +9V 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# 7805
+#
+DEF 7805 U 0 30 N Y 1 F N
+F0 "U" 150 -196 50 H V C CNN
+F1 "7805" 0 200 50 H V C CNN
+F2 "" 0 0 50 H V C CNN
+F3 "" 0 0 50 H V C CNN
+ALIAS LM7805 LM7812 78L05
+DRAW
+S -200 -150 200 150 0 1 0 N
+X VI VI -400 50 200 R 40 40 1 1 I
+X VO VO 400 50 200 L 40 40 1 1 w
+X GND GND 0 -250 100 U 40 40 1 1 I
+ENDDRAW
+ENDDEF
+#
+# ATMEGA168A-PU
+#
+DEF ATMEGA168A-PU IC 0 40 Y Y 1 F N
+F0 "IC" -750 1250 50 H V L BNN
+F1 "ATMEGA168A-PU" 400 -1400 50 H V L BNN
+F2 "DIL28" 0 0 50 H V C CIN
+F3 "" 0 0 50 H V C CNN
+ALIAS ATMEGA48A-PU ATMEGA48PA-PU ATMEGA88A-PU ATMEGA88PA-PU ATMEGA168PA-PU ATMEGA328-PU ATMEGA328P-PU
+$FPLIST
+ 28DIP-ELL600
+ 28dip600
+$ENDFPLIST
+DRAW
+S -750 1200 850 -1300 0 1 10 f
+X (PCINT14/~RESET~)PC6 1 1000 -350 150 L 40 40 1 1 B
+X (PCINT16/RXD)PD0 2 1000 -500 150 L 40 40 1 1 B
+X (PCINT17/TXD)PD1 3 1000 -600 150 L 40 40 1 1 B
+X (PCINT18/INT0)PD2 4 1000 -700 150 L 40 40 1 1 B
+X (PCINT19/OC2B/INT1)PD3 5 1000 -800 150 L 40 40 1 1 B
+X (PCINT20/XCK/T0)PD4 6 1000 -900 150 L 40 40 1 1 B
+X VCC 7 -900 1100 150 R 40 40 1 1 W
+X GND 8 -900 -1200 150 R 40 40 1 1 W
+X (PCINT6/XTAL1/TOSC1)PB6 9 1000 500 150 L 40 40 1 1 B
+X (PCINT7/XTAL2/TOSC2)PB7 10 1000 400 150 L 40 40 1 1 B
+X AVCC 20 -900 800 150 R 40 40 1 1 W
+X (PCINT21/OC0B/T1)PD5 11 1000 -1000 150 L 40 40 1 1 B
+X AREF 21 -900 500 150 R 40 40 1 1 B
+X (PCINT22/OC0A/AIN0)PD6 12 1000 -1100 150 L 40 40 1 1 B
+X GND 22 -900 -1100 150 R 40 40 1 1 W
+X (PCINT23/AIN1)PD7 13 1000 -1200 150 L 40 40 1 1 B
+X (PCINT8/ADC0)PC0 23 1000 250 150 L 40 40 1 1 B
+X (PCINT0/CLKO/ICP1)PB0 14 1000 1100 150 L 40 40 1 1 B
+X (PCINT9/ADC1)PC1 24 1000 150 150 L 40 40 1 1 B
+X (PCINT1/OC1A)PB1 15 1000 1000 150 L 40 40 1 1 B
+X (PCINT10/ADC2)PC2 25 1000 50 150 L 40 40 1 1 B
+X (PCINT2/OC1B/~SS~)PB2 16 1000 900 150 L 40 40 1 1 B
+X (PCINT11/ADC3)PC3 26 1000 -50 150 L 40 40 1 1 B
+X (PCINT3/OC2A/MOSI)PB3 17 1000 800 150 L 40 40 1 1 B
+X (PCINT12/SDA/ADC4)PC4 27 1000 -150 150 L 40 40 1 1 B
+X (PCINT4/MISO)PB4 18 1000 700 150 L 40 40 1 1 B
+X (PCINT13/SCL/ADC5)PC5 28 1000 -250 150 L 40 40 1 1 B
+X (PCINT5/SCK)PB5 19 1000 600 150 L 40 40 1 1 B
+ENDDRAW
+ENDDEF
+#
+# CP
+#
+DEF CP C 0 10 N Y 1 F N
+F0 "C" 25 100 50 H V L CNN
+F1 "CP" 25 -100 50 H V L CNN
+F2 "" 38 -150 50 H V C CNN
+F3 "" 0 0 50 H V C CNN
+$FPLIST
+ CP*
+ C_Axial*
+ C_Radial*
+ TantalC*
+ C*elec
+ c_elec*
+ SMD*_Pol
+$ENDFPLIST
+DRAW
+S -90 20 -90 40 0 1 0 N
+S -90 20 90 20 0 1 0 N
+S 90 -20 -90 -40 0 1 0 F
+S 90 40 -90 40 0 1 0 N
+S 90 40 90 20 0 1 0 N
+P 2 0 1 0 -70 90 -30 90 N
+P 2 0 1 0 -50 110 -50 70 N
+X ~ 1 0 150 110 D 50 50 1 1 P
+X ~ 2 0 -150 110 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# Earth
+#
+DEF ~Earth #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -250 50 H I C CNN
+F1 "Earth" 0 -150 50 H I C CNN
+F2 "" 0 0 50 H V C CNN
+F3 "" 0 0 50 H V C CNN
+DRAW
+P 2 0 1 0 -25 -75 25 -75 N
+P 2 0 1 0 -5 -100 5 -100 N
+P 2 0 1 0 0 -50 0 0 N
+P 2 0 1 0 50 -50 -50 -50 N
+X Earth 1 0 0 0 D 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# GND
+#
+DEF GND #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -250 50 H I C CNN
+F1 "GND" 0 -150 50 H V C CNN
+F2 "" 0 0 50 H V C CNN
+F3 "" 0 0 50 H V C CNN
+DRAW
+P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
+X GND 1 0 0 0 D 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# LED
+#
+DEF LED D 0 40 Y N 1 F N
+F0 "D" 0 100 50 H V C CNN
+F1 "LED" 0 -100 50 H V C CNN
+F2 "" 0 0 50 H V C CNN
+F3 "" 0 0 50 H V C CNN
+$FPLIST
+ LED*
+$ENDFPLIST
+DRAW
+P 2 0 1 8 -50 -50 -50 50 N
+P 2 0 1 0 -50 0 50 0 N
+P 4 0 1 8 50 -50 50 50 -50 0 50 -50 N
+P 5 0 1 0 -120 -30 -180 -90 -150 -90 -180 -90 -180 -60 N
+P 5 0 1 0 -70 -30 -130 -90 -100 -90 -130 -90 -130 -60 N
+X K 1 -150 0 100 R 50 50 1 1 P
+X A 2 150 0 100 L 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# MAX31820
+#
+DEF MAX31820 U 0 40 Y Y 1 F N
+F0 "U" -150 250 50 H V C CNN
+F1 "MAX31820" 0 -250 50 H V C CNN
+F2 "" -150 250 50 H V C CNN
+F3 "" -150 250 50 H V C CNN
+ALIAS DS1822 DS18B20 DS18S20 DS1821C
+$FPLIST
+ TO-92_*
+$ENDFPLIST
+DRAW
+S -200 200 200 -200 0 1 0 N
+X GND 1 -300 -100 100 R 50 50 1 1 W
+X DQ 2 -300 0 100 R 50 50 1 1 B
+X VDD 3 -300 100 100 R 50 50 1 1 W
+ENDDRAW
+ENDDEF
+#
+# R
+#
+DEF R R 0 0 N Y 1 F N
+F0 "R" 80 0 50 V V C CNN
+F1 "R" 0 0 50 V V C CNN
+F2 "" -70 0 50 V V C CNN
+F3 "" 0 0 50 H V C CNN
+$FPLIST
+ R_*
+ Resistor_*
+$ENDFPLIST
+DRAW
+S -40 -100 40 100 0 1 10 N
+X ~ 1 0 150 50 D 50 50 1 1 P
+X ~ 2 0 -150 50 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# VDD
+#
+DEF VDD #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "VDD" 0 150 50 H V C CNN
+F2 "" 0 0 50 H V C CNN
+F3 "" 0 0 50 H V C CNN
+DRAW
+C 0 75 25 0 1 0 N
+P 2 0 1 0 0 0 0 50 N
+X VDD 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+#End Library
diff --git a/vkr.kicad_pcb b/vkr.kicad_pcb
new file mode 100644
index 0000000..02c8ecb
--- /dev/null
+++ b/vkr.kicad_pcb
@@ -0,0 +1 @@
+(kicad_pcb (version 4) (host kicad "dummy file") )
diff --git a/vkr.pro b/vkr.pro
new file mode 100644
index 0000000..f4fbe8f
--- /dev/null
+++ b/vkr.pro
@@ -0,0 +1,117 @@
+update=Mon 02 Jan 2017 06:24:18 PM UTC
+version=1
+last_client=eeschema
+[pcbnew]
+version=1
+LastNetListRead=
+UseCmpFile=1
+PadDrill=0.600000000000
+PadDrillOvalY=0.600000000000
+PadSizeH=1.500000000000
+PadSizeV=1.500000000000
+PcbTextSizeV=1.500000000000
+PcbTextSizeH=1.500000000000
+PcbTextThickness=0.300000000000
+ModuleTextSizeV=1.000000000000
+ModuleTextSizeH=1.000000000000
+ModuleTextSizeThickness=0.150000000000
+SolderMaskClearance=0.000000000000
+SolderMaskMinWidth=0.000000000000
+DrawSegmentWidth=0.200000000000
+BoardOutlineThickness=0.100000000000
+ModuleOutlineThickness=0.150000000000
+[cvpcb]
+version=1
+NetIExt=net
+[general]
+version=1
+[eeschema]
+version=1
+LibDir=
+[eeschema/libraries]
+LibName1=74xgxx
+LibName2=74xx
+LibName3=ac-dc
+LibName4=actel
+LibName5=adc-dac
+LibName6=allegro
+LibName7=Altera
+LibName8=analog_devices
+LibName9=analog_switches
+LibName10=atmel
+LibName11=audio
+LibName12=battery_management
+LibName13=bbd
+LibName14=bosch
+LibName15=brooktre
+LibName16=cmos4000
+LibName17=cmos_ieee
+LibName18=conn
+LibName19=contrib
+LibName20=cypress
+LibName21=dc-dc
+LibName22=device
+LibName23=digital-audio
+LibName24=diode
+LibName25=display
+LibName26=dsp
+LibName27=elec-unifil
+LibName28=ESD_Protection
+LibName29=ftdi
+LibName30=gennum
+LibName31=graphic
+LibName32=hc11
+LibName33=intel
+LibName34=interface
+LibName35=ir
+LibName36=Lattice
+LibName37=linear
+LibName38=logo
+LibName39=maxim
+LibName40=mechanical
+LibName41=memory
+LibName42=microchip
+LibName43=microchip_dspic33dsc
+LibName44=microchip_pic10mcu
+LibName45=microchip_pic12mcu
+LibName46=microchip_pic16mcu
+LibName47=microchip_pic18mcu
+LibName48=microchip_pic32mcu
+LibName49=microcontrollers
+LibName50=motor_drivers
+LibName51=motorola
+LibName52=motors
+LibName53=msp430
+LibName54=nordicsemi
+LibName55=nxp_armmcu
+LibName56=onsemi
+LibName57=opto
+LibName58=Oscillators
+LibName59=philips
+LibName60=power
+LibName61=powerint
+LibName62=Power_Management
+LibName63=pspice
+LibName64=references
+LibName65=regul
+LibName66=relays
+LibName67=rfcom
+LibName68=sensors
+LibName69=silabs
+LibName70=siliconi
+LibName71=stm8
+LibName72=stm32
+LibName73=supertex
+LibName74=switches
+LibName75=texas
+LibName76=transf
+LibName77=transistors
+LibName78=ttl_ieee
+LibName79=valves
+LibName80=video
+LibName81=wiznet
+LibName82=Worldsemi
+LibName83=Xicor
+LibName84=xilinx
+LibName85=zetex
+LibName86=Zilog
diff --git a/vkr.sch b/vkr.sch
new file mode 100644
index 0000000..025ba29
--- /dev/null
+++ b/vkr.sch
@@ -0,0 +1,337 @@
+EESchema Schematic File Version 2
+LIBS:74xgxx
+LIBS:74xx
+LIBS:ac-dc
+LIBS:actel
+LIBS:adc-dac
+LIBS:allegro
+LIBS:Altera
+LIBS:analog_devices
+LIBS:analog_switches
+LIBS:atmel
+LIBS:audio
+LIBS:battery_management
+LIBS:bbd
+LIBS:bosch
+LIBS:brooktre
+LIBS:cmos4000
+LIBS:cmos_ieee
+LIBS:conn
+LIBS:contrib
+LIBS:cypress
+LIBS:dc-dc
+LIBS:device
+LIBS:digital-audio
+LIBS:diode
+LIBS:display
+LIBS:dsp
+LIBS:elec-unifil
+LIBS:ESD_Protection
+LIBS:ftdi
+LIBS:gennum
+LIBS:graphic
+LIBS:hc11
+LIBS:intel
+LIBS:interface
+LIBS:ir
+LIBS:Lattice
+LIBS:linear
+LIBS:logo
+LIBS:maxim
+LIBS:mechanical
+LIBS:memory
+LIBS:microchip
+LIBS:microchip_dspic33dsc
+LIBS:microchip_pic10mcu
+LIBS:microchip_pic12mcu
+LIBS:microchip_pic16mcu
+LIBS:microchip_pic18mcu
+LIBS:microchip_pic32mcu
+LIBS:microcontrollers
+LIBS:motor_drivers
+LIBS:motorola
+LIBS:motors
+LIBS:msp430
+LIBS:nordicsemi
+LIBS:nxp_armmcu
+LIBS:onsemi
+LIBS:opto
+LIBS:Oscillators
+LIBS:philips
+LIBS:power
+LIBS:powerint
+LIBS:Power_Management
+LIBS:pspice
+LIBS:references
+LIBS:regul
+LIBS:relays
+LIBS:rfcom
+LIBS:sensors
+LIBS:silabs
+LIBS:siliconi
+LIBS:stm8
+LIBS:stm32
+LIBS:supertex
+LIBS:switches
+LIBS:texas
+LIBS:transf
+LIBS:transistors
+LIBS:ttl_ieee
+LIBS:valves
+LIBS:video
+LIBS:wiznet
+LIBS:Worldsemi
+LIBS:Xicor
+LIBS:xilinx
+LIBS:zetex
+LIBS:Zilog
+EELAYER 25 0
+EELAYER END
+$Descr A4 11693 8268
+encoding utf-8
+Sheet 1 1
+Title ""
+Date ""
+Rev ""
+Comp ""
+Comment1 ""
+Comment2 ""
+Comment3 ""
+Comment4 ""
+$EndDescr
+$Comp
+L +9V #PWR?
+U 1 1 5869894A
+P 750 800
+F 0 "#PWR?" H 750 650 50 0001 C CNN
+F 1 "+9V" H 750 940 50 0000 C CNN
+F 2 "" H 750 800 50 0000 C CNN
+F 3 "" H 750 800 50 0000 C CNN
+ 1 750 800
+ 1 0 0 -1
+$EndComp
+$Comp
+L R R1
+U 1 1 5869945D
+P 2950 1300
+F 0 "R1" V 3030 1300 50 0000 C CNN
+F 1 "220" V 2950 1300 50 0000 C CNN
+F 2 "" V 2880 1300 50 0000 C CNN
+F 3 "" H 2950 1300 50 0000 C CNN
+ 1 2950 1300
+ 1 0 0 -1
+$EndComp
+$Comp
+L LED D1
+U 1 1 586997C1
+P 2950 1650
+F 0 "D1" H 2950 1750 50 0000 C CNN
+F 1 "LED" H 2950 1550 50 0000 C CNN
+F 2 "" H 2950 1650 50 0000 C CNN
+F 3 "" H 2950 1650 50 0000 C CNN
+ 1 2950 1650
+ 0 -1 -1 0
+$EndComp
+$Comp
+L CP C1
+U 1 1 586A84A1
+P 1250 1400
+F 0 "C1" H 1275 1500 50 0000 L CNN
+F 1 "10uF" H 1275 1300 50 0000 L CNN
+F 2 "" H 1288 1250 50 0000 C CNN
+F 3 "" H 1250 1400 50 0000 C CNN
+ 1 1250 1400
+ 1 0 0 -1
+$EndComp
+$Comp
+L Earth #PWR?
+U 1 1 586A8585
+P 750 1800
+F 0 "#PWR?" H 750 1550 50 0001 C CNN
+F 1 "Earth" H 750 1650 50 0001 C CNN
+F 2 "" H 750 1800 50 0000 C CNN
+F 3 "" H 750 1800 50 0000 C CNN
+ 1 750 1800
+ 1 0 0 -1
+$EndComp
+$Comp
+L 7805 U1
+U 1 1 5869872D
+P 1750 1050
+F 0 "U1" H 1900 854 50 0000 C CNN
+F 1 "7805" H 1750 1250 50 0000 C CNN
+F 2 "" H 1750 1050 50 0000 C CNN
+F 3 "" H 1750 1050 50 0000 C CNN
+ 1 1750 1050
+ 1 0 0 -1
+$EndComp
+$Comp
+L CP C2
+U 1 1 586A8523
+P 2400 1400
+F 0 "C2" H 2425 1500 50 0000 L CNN
+F 1 "10uF" H 2425 1300 50 0000 L CNN
+F 2 "" H 2438 1250 50 0000 C CNN
+F 3 "" H 2400 1400 50 0000 C CNN
+ 1 2400 1400
+ 1 0 0 -1
+$EndComp
+$Comp
+L LED D2
+U 1 1 586ABEA8
+P 6800 1450
+F 0 "D2" H 6800 1550 50 0000 C CNN
+F 1 "LED" H 6800 1350 50 0000 C CNN
+F 2 "" H 6800 1450 50 0000 C CNN
+F 3 "" H 6800 1450 50 0000 C CNN
+ 1 6800 1450
+ -1 0 0 1
+$EndComp
+$Comp
+L GND #PWR?
+U 1 1 586AC0BF
+P 7400 1750
+F 0 "#PWR?" H 7400 1500 50 0001 C CNN
+F 1 "GND" H 7400 1600 50 0000 C CNN
+F 2 "" H 7400 1750 50 0000 C CNN
+F 3 "" H 7400 1750 50 0000 C CNN
+ 1 7400 1750
+ 1 0 0 -1
+$EndComp
+$Comp
+L ATMEGA328-PU IC1
+U 1 1 586A9C2C
+P 5200 2550
+F 0 "IC1" H 4450 3800 50 0000 L BNN
+F 1 "ATMEGA328-PU" H 5600 1150 50 0000 L BNN
+F 2 "DIL28" H 5200 2550 50 0000 C CIN
+F 3 "" H 5200 2550 50 0000 C CNN
+ 1 5200 2550
+ 1 0 0 -1
+$EndComp
+$Comp
+L DS18B20 U?
+U 1 1 586AAED9
+P 8400 2550
+F 0 "U?" H 8250 2800 50 0000 C CNN
+F 1 "DS18B20" H 8400 2300 50 0000 C CNN
+F 2 "" H 8250 2800 50 0000 C CNN
+F 3 "" H 8250 2800 50 0000 C CNN
+ 1 8400 2550
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 3650 1800 3650 3750
+Wire Wire Line
+ 3650 3650 4300 3650
+Wire Wire Line
+ 3650 3750 4300 3750
+Connection ~ 3650 3650
+Wire Wire Line
+ 750 800 750 1000
+Wire Wire Line
+ 750 1000 1350 1000
+Wire Wire Line
+ 2150 1000 4300 1000
+Wire Wire Line
+ 2950 1150 2950 1000
+Connection ~ 2950 1000
+Wire Wire Line
+ 2400 1250 2400 1000
+Connection ~ 2400 1000
+Wire Wire Line
+ 1250 1250 1250 1000
+Connection ~ 1250 1000
+Wire Wire Line
+ 1750 1800 1750 1300
+Connection ~ 1750 1800
+Wire Wire Line
+ 750 1800 3650 1800
+Connection ~ 2950 1800
+Wire Wire Line
+ 4300 1000 4300 2050
+Connection ~ 4300 1450
+Connection ~ 4300 1750
+Wire Wire Line
+ 1250 1550 1250 1800
+Connection ~ 1250 1800
+Wire Wire Line
+ 2400 1550 2400 1800
+Connection ~ 2400 1800
+Wire Wire Line
+ 2950 1450 2950 1500
+Wire Wire Line
+ 6200 1450 6650 1450
+Wire Wire Line
+ 6950 1450 7400 1450
+Wire Wire Line
+ 7400 1450 7400 1750
+Wire Wire Line
+ 7100 2550 7100 1550
+Wire Wire Line
+ 7100 1550 6200 1550
+Wire Wire Line
+ 6900 2550 6900 1650
+Wire Wire Line
+ 6900 1650 6200 1650
+$Comp
+L R R2
+U 1 1 586ABB21
+P 7400 2400
+F 0 "R2" V 7480 2400 50 0000 C CNN
+F 1 "4.7K" V 7400 2400 50 0000 C CNN
+F 2 "" V 7330 2400 50 0000 C CNN
+F 3 "" H 7400 2400 50 0000 C CNN
+ 1 7400 2400
+ 1 0 0 -1
+$EndComp
+Wire Bus Line
+ 6900 2550 8100 2550
+$Comp
+L VDD #PWR?
+U 1 1 586ABDE0
+P 7850 2150
+F 0 "#PWR?" H 7850 2000 50 0001 C CNN
+F 1 "VDD" H 7850 2300 50 0000 C CNN
+F 2 "" H 7850 2150 50 0000 C CNN
+F 3 "" H 7850 2150 50 0000 C CNN
+ 1 7850 2150
+ 1 0 0 -1
+$EndComp
+$Comp
+L VDD #PWR?
+U 1 1 586AC0FD
+P 3800 750
+F 0 "#PWR?" H 3800 600 50 0001 C CNN
+F 1 "VDD" H 3800 900 50 0000 C CNN
+F 2 "" H 3800 750 50 0000 C CNN
+F 3 "" H 3800 750 50 0000 C CNN
+ 1 3800 750
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 3800 750 3800 1000
+Connection ~ 3800 1000
+Wire Wire Line
+ 7400 2250 8100 2250
+Wire Wire Line
+ 8100 2250 8100 2450
+Wire Wire Line
+ 7850 2150 7850 2250
+Connection ~ 7850 2250
+$Comp
+L GND #PWR?
+U 1 1 586AC57B
+P 7400 2950
+F 0 "#PWR?" H 7400 2700 50 0001 C CNN
+F 1 "GND" H 7400 2800 50 0000 C CNN
+F 2 "" H 7400 2950 50 0000 C CNN
+F 3 "" H 7400 2950 50 0000 C CNN
+ 1 7400 2950
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 8100 2650 7400 2650
+Wire Wire Line
+ 7400 2650 7400 2950
+$EndSCHEMATC